http://www.mentor.com/dsm/
Tadpole
Workgroup in a Rack
Click here for EDAToolsCafe Click here for EDAToolsCafe Click here for Internet Business Systems Click here for Hewlett Packard Click here for EDAToolsCafe
Search:
  Home | EDAVision | Companies | Downloads | Interviews | Forums | News | Resources |  ItZnewz  | |   | PCBCafe
  Check Mail | Submit Material | Universities | Books & Courses | Events | Membership | Fun Stuff | Advertise |
 Browse eCatalog:  Subscribe to EDA Daily News
eCatalogAsic & ICPCBFPGADesign ServicesHardwareSIP
Email: 
 EDAToolsCafe 

Printer Friendly Version

Aldec Provides Free Mixed VHDL/Verilog Simulator

No cost, unrestricted version of Riviera is available until June 30, 2002

Henderson Nevada, - April 15, 2002 - Aldec, Inc., a pioneer in mixed language simulation and advanced design tools for FPGA and ASIC devices, announced today the release of Riviera 2002.03. The product is available until the end of June at no cost. Aldec’s new load-n-go licensing scheme allows designers to take advantage of Aldec’s industry-proven common kernel simulation technology supporting IEEE VHDL 1076-87/93 and Verilog 1364-95, including the most popular Verilog 2001 constructs.

“Aldec is offering users the fastest mixed simulator on the market free of charge and without any of the software restrictions that are typically associated with an extended trial. This offer gives users access to Riviera for several months and it can also be used on all O/S platforms,” stated Eric Seabrook, Riviera Product Marketing Manager, Aldec, Inc.

Riviera 2002.03 is available for download today and supports Sun Solaris 7 and 8, Linux (kernel 2.2) and Windows NT/2000/XP. Designers who download the free version of Riviera will receive the same level of technical support as licensed customers. Riviera 2002.03 can be downloaded directly from www.aldec.com or designers can call 702-990-4400 for more information.

About Aldec
Aldec, Inc., an 18-year EDA tool provider, is committed to delivering high-performance, HDL-based design verification software for UNIX, Linux and Windows platforms. Aldec is dedicated and responsive to serving its customers’ needs. It is recognized that to be productive in today’s market and to best serve customers in the future, new technologies and innovations that go beyond traditional methods of conducting business in the EDA industry must be pursued. Aldec is committed to customer service and is actively developing a company that will evolve along with its customers’ designs. Additional information about Aldec is available at http://www.aldec.com.

Active-HDL, Riviera and Riviera IPT are trademarks of Aldec, Inc. All other trademarks or registered
trademarks are property of their respective owners
##


Contact:        Eric Seabrook
Aldec, Inc.
(702) 990-4400 ext. 224
                erics@aldec.com

http://www.mentor.com/dsm/
http://www.mentor.com/pcb/
http://www.mentor.com/dft/
http://hdlsolutions.mentor.com/
SynaptiCAD


Click here for Internet Business Systems Copyright 2002, Internet Business Systems, Inc.
1-888-44-WEB-44 --- marketing@ibsystems.com